updated for version 7.2a
This commit is contained in:
@ -1,6 +1,6 @@
|
||||
" Vim syntax support file
|
||||
" Maintainer: Bram Moolenaar <Bram@vim.org>
|
||||
" Last Change: 2007 Mar 10
|
||||
" Last Change: 2007 Aug 31
|
||||
" (modified by David Ne\v{c}as (Yeti) <yeti@physics.muni.cz>)
|
||||
" (XHTML support by Panagiotis Issaris <takis@lumumba.luc.ac.be>)
|
||||
" (made w3 compliant by Edd Barrett <vext01@gmail.com>)
|
||||
@ -162,9 +162,9 @@ else
|
||||
let s:html_encoding = 'iso-8859-1'
|
||||
elseif s:vim_encoding =~ "^cp12"
|
||||
let s:html_encoding = substitute(s:vim_encoding, 'cp', 'windows-', '')
|
||||
elseif s:vim_encoding == 'sjis'
|
||||
elseif s:vim_encoding == 'sjis' || s:vim_encoding == 'cp932'
|
||||
let s:html_encoding = 'Shift_JIS'
|
||||
elseif s:vim_encoding == 'big5'
|
||||
elseif s:vim_encoding == 'big5' || s:vim_encoding == 'cp950'
|
||||
let s:html_encoding = "Big5"
|
||||
elseif s:vim_encoding == 'euc-cn'
|
||||
let s:html_encoding = 'GB_2312-80'
|
||||
|
||||
@ -1,7 +1,7 @@
|
||||
" Vim script for testing colors
|
||||
" Maintainer: Bram Moolenaar <Bram@vim.org>
|
||||
" Contributors: Rafael Garcia-Suarez, Charles Campbell
|
||||
" Last Change: 2006 Feb 20
|
||||
" Last Change: 2008 Jun 04
|
||||
|
||||
" edit this file, then do ":source %", and check if the colors match
|
||||
|
||||
@ -55,11 +55,18 @@
|
||||
" Open this file in a window if it isn't edited yet.
|
||||
" Use the current window if it's empty.
|
||||
if expand('%:p') != expand('<sfile>:p')
|
||||
if &mod || line('$') != 1 || getline(1) != ''
|
||||
exe "new " . expand('<sfile>')
|
||||
let s:fname = expand('<sfile>')
|
||||
if exists('*fnameescape')
|
||||
let s:fname = fnameescape(s:fname)
|
||||
else
|
||||
exe "edit " . expand('<sfile>')
|
||||
let s:fname = escape(s:fname, ' \|')
|
||||
endif
|
||||
if &mod || line('$') != 1 || getline(1) != ''
|
||||
exe "new " . s:fname
|
||||
else
|
||||
exe "edit " . s:fname
|
||||
endif
|
||||
unlet s:fname
|
||||
endif
|
||||
|
||||
syn clear
|
||||
|
||||
@ -1,8 +1,8 @@
|
||||
" Vim syntax file
|
||||
" Language: Microsoft Module-Definition (.def) File
|
||||
" Maintainer: Rob Brady <robb@datatone.com>
|
||||
" Orig Author: Rob Brady <robb@datatone.com>
|
||||
" Maintainer: Wu Yongwei <wuyongwei@gmail.com>
|
||||
" Last Change: $Date$
|
||||
" URL: http://www.datatone.com/~robb/vim/syntax/def.vim
|
||||
" $Revision$
|
||||
|
||||
" For version 5.x: Clear all syntax items
|
||||
@ -23,7 +23,7 @@ syn keyword defKeyword HEAPSIZE DATA
|
||||
syn keyword defStorage LOADONCALL MOVEABLE DISCARDABLE SINGLE
|
||||
syn keyword defStorage FIXED PRELOAD
|
||||
|
||||
syn match defOrdinal "@\d\+"
|
||||
syn match defOrdinal "\s\+@\d\+"
|
||||
|
||||
syn region defString start=+'+ end=+'+
|
||||
|
||||
|
||||
150
runtime/syntax/dtrace.vim
Normal file
150
runtime/syntax/dtrace.vim
Normal file
@ -0,0 +1,150 @@
|
||||
" DTrace D script syntax file. To avoid confusion with the D programming
|
||||
" language, I call this script dtrace.vim instead of d.vim.
|
||||
" Language: D script as described in "Solaris Dynamic Tracing Guide",
|
||||
" http://docs.sun.com/app/docs/doc/817-6223
|
||||
" Version: 1.5
|
||||
" Last Change: 2008/04/05
|
||||
" Maintainer: Nicolas Weber <nicolasweber@gmx.de>
|
||||
|
||||
" dtrace lexer and parser are at
|
||||
" http://src.opensolaris.org/source/xref/onnv/onnv-gate/usr/src/lib/libdtrace/common/dt_lex.l
|
||||
" http://src.opensolaris.org/source/xref/onnv/onnv-gate/usr/src/lib/libdtrace/common/dt_grammar.y
|
||||
|
||||
if version < 600
|
||||
syntax clear
|
||||
elseif exists("b:current_syntax")
|
||||
finish
|
||||
endif
|
||||
|
||||
" Read the C syntax to start with
|
||||
if version < 600
|
||||
so <sfile>:p:h/c.vim
|
||||
else
|
||||
runtime! syntax/c.vim
|
||||
unlet b:current_syntax
|
||||
endif
|
||||
|
||||
syn clear cCommentL " dtrace doesn't support // style comments
|
||||
|
||||
" First line may start with #!, also make sure a '-s' flag is somewhere in
|
||||
" that line.
|
||||
syn match dtraceComment "\%^#!.*-s.*"
|
||||
|
||||
" Probe descriptors need explicit matches, so that keywords in probe
|
||||
" descriptors don't show up as errors. Note that this regex detects probes
|
||||
" as "something with three ':' in it". This works in practice, but it's not
|
||||
" really correct. Also add special case code for BEGIN, END and ERROR, since
|
||||
" they are common.
|
||||
" Be careful not to detect '/*some:::node*/\n/**/' as probe, as it's
|
||||
" commented out.
|
||||
" XXX: This allows a probe description to end with ',', even if it's not
|
||||
" followed by another probe.
|
||||
" XXX: This doesn't work if followed by a comment.
|
||||
let s:oneProbe = '\%(BEGIN\|END\|ERROR\|\S\{-}:\S\{-}:\S\{-}:\S\{-}\)\_s*'
|
||||
exec 'syn match dtraceProbe "'.s:oneProbe.'\%(,\_s*'.s:oneProbe.'\)*\ze\_s\%({\|\/[^*]\|\%$\)"'
|
||||
|
||||
" Note: We have to be careful to not make this match /* */ comments.
|
||||
" Also be careful not to eat `c = a / b; b = a / 2;`. We use the same
|
||||
" technique as the dtrace lexer: a predicate has to be followed by {, ;, or
|
||||
" EOF. Also note that dtrace doesn't allow an empty predicate // (we do).
|
||||
" This regex doesn't allow a divison operator in the predicate.
|
||||
" Make sure that this matches the empty predicate as well.
|
||||
" XXX: This doesn't work if followed by a comment.
|
||||
syn match dtracePredicate "/\*\@!\_[^/]*/\ze\_s*\%({\|;\|\%$\)"
|
||||
"contains=ALLBUT,dtraceOption " this lets the region contain too much stuff
|
||||
|
||||
" Pragmas.
|
||||
" dtrace seems not to support whitespace before or after the '='. dtrace
|
||||
" supports only one option per #pragma, and no continuations of #pragma over
|
||||
" several lines with '\'.
|
||||
" Note that dtrace treats units (Hz etc) as case-insenstive, we allow only
|
||||
" sane unit capitalization in this script (ie 'ns', 'us', 'ms', 's' have to be
|
||||
" small, Hertz can be 'Hz' or 'hz')
|
||||
" XXX: "cpu" is always highlighted as builtin var, not as option
|
||||
|
||||
" auto or manual: bufresize
|
||||
syn match dtraceOption contained "bufresize=\%(auto\|manual\)\s*$"
|
||||
|
||||
" scalar: cpu jstackframes jstackstrsize nspec stackframes stackindent ustackframes
|
||||
syn match dtraceOption contained "\%(cpu\|jstackframes\|jstackstrsize\|nspec\|stackframes\|stackindent\|ustackframes\)=\d\+\s*$"
|
||||
|
||||
" size: aggsize bufsize dynvarsize specsize strsize
|
||||
" size defaults to something if no unit is given (ie., having no unit is ok)
|
||||
syn match dtraceOption contained "\%(aggsize\|bufsize\|dynvarsize\|specsize\|strsize\)=\d\+\%(k\|m\|g\|t\|K\|M\|G\|T\)\=\s*$"
|
||||
|
||||
" time: aggrate cleanrate statusrate switchrate
|
||||
" time defaults to hz if no unit is given
|
||||
syn match dtraceOption contained "\%(aggrate\|cleanrate\|statusrate\|switchrate\)=\d\+\%(hz\|Hz\|ns\|us\|ms\|s\)\=\s*$"
|
||||
|
||||
" No type: defaultargs destructive flowindent grabanon quiet rawbytes
|
||||
syn match dtraceOption contained "\%(defaultargs\|destructive\|flowindent\|grabanon\|quiet\|rawbytes\)\s*$"
|
||||
|
||||
|
||||
" Turn reserved but unspecified keywords into errors
|
||||
syn keyword dtraceReservedKeyword auto break case continue counter default do
|
||||
syn keyword dtraceReservedKeyword else for goto if import probe provider
|
||||
syn keyword dtraceReservedKeyword register restrict return static switch while
|
||||
|
||||
" Add dtrace-specific stuff
|
||||
syn keyword dtraceOperator sizeof offsetof stringof xlate
|
||||
syn keyword dtraceStatement self inline xlate this translator
|
||||
|
||||
" Builtin variables
|
||||
syn keyword dtraceIdentifier arg0 arg1 arg2 arg3 arg4 arg5 arg6 arg7 arg8 arg9
|
||||
syn keyword dtraceIdentifier args caller chip cpu curcpu curlwpsinfo curpsinfo
|
||||
syn keyword dtraceIdentifier curthread cwd epid errno execname gid id ipl lgrp
|
||||
syn keyword dtraceIdentifier pid ppid probefunc probemod probename probeprov
|
||||
syn keyword dtraceIdentifier pset root stackdepth tid timestamp uid uregs
|
||||
syn keyword dtraceIdentifier vtimestamp walltimestamp
|
||||
syn keyword dtraceIdentifier ustackdepth
|
||||
|
||||
" Macro Variables
|
||||
syn match dtraceConstant "$[0-9]\+"
|
||||
syn match dtraceConstant "$\(egid\|euid\|gid\|pgid\|ppid\)"
|
||||
syn match dtraceConstant "$\(projid\|sid\|target\|taskid\|uid\)"
|
||||
|
||||
" Data Recording Actions
|
||||
syn keyword dtraceFunction trace tracemem printf printa stack ustack jstack
|
||||
|
||||
" Process Destructive Actions
|
||||
syn keyword dtraceFunction stop raise copyout copyoutstr system
|
||||
|
||||
" Kernel Destructive Actions
|
||||
syn keyword dtraceFunction breakpoint panic chill
|
||||
|
||||
" Special Actions
|
||||
syn keyword dtraceFunction speculate commit discard exit
|
||||
|
||||
" Subroutines
|
||||
syn keyword dtraceFunction alloca basename bcopy cleanpath copyin copyinstr
|
||||
syn keyword dtraceFunction copyinto dirname msgdsize msgsize mutex_owned
|
||||
syn keyword dtraceFunction mutex_owner mutex_type_adaptive progenyof
|
||||
syn keyword dtraceFunction rand rw_iswriter rw_write_held speculation
|
||||
syn keyword dtraceFunction strjoin strlen
|
||||
|
||||
" Aggregating Functions
|
||||
syn keyword dtraceAggregatingFunction count sum avg min max lquantize quantize
|
||||
|
||||
syn keyword dtraceType int8_t int16_t int32_t int64_t intptr_t
|
||||
syn keyword dtraceType uint8_t uint16_t uint32_t uint64_t uintptr_t
|
||||
syn keyword dtraceType string
|
||||
syn keyword dtraceType pid_t id_t
|
||||
|
||||
|
||||
" Define the default highlighting.
|
||||
" We use `hi def link` directly, this requires 5.8.
|
||||
hi def link dtraceReservedKeyword Error
|
||||
hi def link dtracePredicate String
|
||||
hi def link dtraceProbe dtraceStatement
|
||||
hi def link dtraceStatement Statement
|
||||
hi def link dtraceConstant Constant
|
||||
hi def link dtraceIdentifier Identifier
|
||||
hi def link dtraceAggregatingFunction dtraceFunction
|
||||
hi def link dtraceFunction Function
|
||||
hi def link dtraceType Type
|
||||
hi def link dtraceOperator Operator
|
||||
hi def link dtraceComment Comment
|
||||
hi def link dtraceNumber Number
|
||||
hi def link dtraceOption Identifier
|
||||
|
||||
let b:current_syntax = "dtrace"
|
||||
@ -1,11 +1,11 @@
|
||||
" Vim syntax file
|
||||
" Language: erlang (ERicsson LANGuage)
|
||||
" http://www.erlang.se
|
||||
" http://www.erlang.org
|
||||
" Maintainer: Kre<EFBFBD>imir Mar<61>i<EFBFBD> (Kresimir Marzic) <kmarzic@fly.srk.fer.hr>
|
||||
" Last update: Fri, 15-Feb-2002
|
||||
" http://www.erlang.se
|
||||
" http://www.erlang.org
|
||||
" Maintainer: Csaba Hoch <csaba.hoch@gmail.com>
|
||||
" Former Maintainer: Kreąimir Marľić (Kresimir Marzic) <kmarzic@fly.srk.fer.hr>
|
||||
" Last update: 12-Mar-2008
|
||||
" Filenames: .erl
|
||||
" URL: http://www.srk.fer.hr/~kmarzic/vim/syntax/erlang.vim
|
||||
|
||||
|
||||
" There are three sets of highlighting in here:
|
||||
@ -24,9 +24,9 @@
|
||||
" For version 5.x: Clear all syntax items
|
||||
" For version 6.x: Quit when a syntax file was already loaded
|
||||
if version < 600
|
||||
syntax clear
|
||||
syntax clear
|
||||
elseif exists ("b:current_syntax")
|
||||
finish
|
||||
finish
|
||||
endif
|
||||
|
||||
|
||||
@ -35,136 +35,140 @@ syn case match
|
||||
|
||||
|
||||
if ! exists ("erlang_characters")
|
||||
" Basic elements
|
||||
syn match erlangComment +%.*$+
|
||||
syn match erlangModifier "\~\a\|\\\a" contained
|
||||
syn match erlangSpecialCharacter ":\|_\|@\|\\\|\"\|\."
|
||||
syn match erlangSeparator "(\|)\|{\|}\|\[\|]\||\|||\|;\|,\|?\|->\|#" contained
|
||||
syn region erlangString start=+"+ skip=+\\"+ end=+"+ contains=erlangModifier
|
||||
syn region erlangAtom start=+'+ skip=+\\'+ end=+'+
|
||||
|
||||
" Operators
|
||||
syn match erlangOperator "+\|-\|\*\|\/"
|
||||
syn keyword erlangOperator div rem or xor bor bxor bsl bsr
|
||||
syn keyword erlangOperator and band not bnot
|
||||
syn match erlangOperator "==\|/=\|=:=\|=/=\|<\|=<\|>\|>="
|
||||
syn match erlangOperator "++\|--\|=\|!\|<-"
|
||||
" Basic elements
|
||||
syn match erlangComment "%.*$" contains=erlangAnnotation,erlangTodo
|
||||
syn match erlangAnnotation " \@<=@\%(clear\|docfile\|end\|headerfile\|todo\|TODO\|type\|author\|copyright\|doc\|reference\|see\|since\|title\|version\|deprecated\|hidden\|private\|equiv\|spec\|throws\)" contained
|
||||
syn match erlangAnnotation "`[^']*'" contained
|
||||
syn keyword erlangTodo TODO FIXME XXX contained
|
||||
syn match erlangModifier "\~\a\|\\\a\|\\\\" contained
|
||||
syn match erlangSpecialCharacter ":\|_\|@\|\\\|\"\|\."
|
||||
syn match erlangSeparator "(\|)\|{\|}\|\[\|]\||\|||\|;\|,\|?\|->\|#" contained
|
||||
syn region erlangString start=+"+ skip=+\\.+ end=+"+ contains=erlangModifier
|
||||
syn region erlangAtom start=+'+ skip=+\\'+ end=+'+
|
||||
|
||||
" Numbers
|
||||
syn match erlangNumberInteger "[+-]\=\d\+" contains=erlangSeparator
|
||||
syn match erlangNumberFloat1 "[+-]\=\d\+.\d\+" contains=erlangSeparator
|
||||
syn match erlangNumberFloat2 "[+-]\=\d\+\(.\d\+\)\=[eE][+-]\=\d\+\(.\d\+\)\=" contains=erlangSeparator
|
||||
syn match erlangNumberFloat3 "[+-]\=\d\+[#]\x\+" contains=erlangSeparator
|
||||
syn match erlangNumberFloat4 "[+-]\=[eE][+-]\=\d\+" contains=erlangSeparator
|
||||
syn match erlangNumberHex "$\x\+" contains=erlangSeparator
|
||||
" Operators
|
||||
syn match erlangOperator "+\|-\|\*\|\/"
|
||||
syn keyword erlangOperator div rem or xor bor bxor bsl bsr
|
||||
syn keyword erlangOperator and band not bnot
|
||||
syn match erlangOperator "==\|/=\|=:=\|=/=\|<\|=<\|>\|>="
|
||||
syn match erlangOperator "++\|--\|=\|!\|<-"
|
||||
|
||||
" Ignore '_' and '-' in words
|
||||
syn match erlangWord "\w\+[_-]\+\w\+"
|
||||
" Numbers
|
||||
syn match erlangNumberInteger "\d\+" contains=erlangSeparator
|
||||
syn match erlangNumberFloat1 "\d\+\.\d\+" contains=erlangSeparator
|
||||
syn match erlangNumberFloat2 "\d\+\(\.\d\+\)\=[eE][+-]\=\d\+\(\.\d\+\)\=" contains=erlangSeparator
|
||||
syn match erlangNumberFloat3 "\d\+[#]\x\+" contains=erlangSeparator
|
||||
syn match erlangNumberHex "$\x\+" contains=erlangSeparator
|
||||
|
||||
" Ignore numbers in words
|
||||
syn match erlangWord "\w\+\d\+\(\(.\d\+\)\=\(\w\+\)\=\)\="
|
||||
" Ignore '_' and '-' in words
|
||||
syn match erlangWord "\h\+\w*"
|
||||
|
||||
syn match erlangChar /\$./
|
||||
endif
|
||||
|
||||
if ! exists ("erlang_functions")
|
||||
" Functions call
|
||||
syn match erlangFCall "\w\+\(\s\+\)\=[:@]\(\s\+\)\=\w\+" contains=ALLBUT,erlangFunction,erlangBIF,erlangWord
|
||||
" Functions call
|
||||
syn match erlangFCall "\%(\w\+\s*\.\s*\)*\w\+\s*[:@]\s*\w\+"
|
||||
|
||||
" build-in-functions (BIFs)
|
||||
syn keyword erlangBIF abs alive apply atom_to_list
|
||||
syn keyword erlangBIF binary_to_list binary_to_term
|
||||
syn keyword erlangBIF concat_binary
|
||||
syn keyword erlangBIF date disconnect_node
|
||||
syn keyword erlangBIF element erase exit
|
||||
syn keyword erlangBIF float float_to_list
|
||||
syn keyword erlangBIF get get_keys group_leader
|
||||
syn keyword erlangBIF halt hd
|
||||
syn keyword erlangBIF integer_to_list is_alive
|
||||
syn keyword erlangBIF length link list_to_atom list_to_binary
|
||||
syn keyword erlangBIF list_to_float list_to_integer list_to_pid
|
||||
syn keyword erlangBIF list_to_tuple load_module
|
||||
syn keyword erlangBIF make_ref monitor_node
|
||||
syn keyword erlangBIF node nodes now
|
||||
syn keyword erlangBIF open_port
|
||||
syn keyword erlangBIF pid_to_list process_flag
|
||||
syn keyword erlangBIF process_info process put
|
||||
syn keyword erlangBIF register registered round
|
||||
syn keyword erlangBIF self setelement size spawn
|
||||
syn keyword erlangBIF spawn_link split_binary statistics
|
||||
syn keyword erlangBIF term_to_binary throw time tl trunc
|
||||
syn keyword erlangBIF tuple_to_list
|
||||
syn keyword erlangBIF unlink unregister
|
||||
syn keyword erlangBIF whereis
|
||||
" build-in-functions (BIFs)
|
||||
syn keyword erlangBIF abs alive apply atom_to_list
|
||||
syn keyword erlangBIF binary_to_list binary_to_term
|
||||
syn keyword erlangBIF concat_binary
|
||||
syn keyword erlangBIF date disconnect_node
|
||||
syn keyword erlangBIF element erase exit
|
||||
syn keyword erlangBIF float float_to_list
|
||||
syn keyword erlangBIF get get_keys group_leader
|
||||
syn keyword erlangBIF halt hd
|
||||
syn keyword erlangBIF integer_to_list is_alive
|
||||
syn keyword erlangBIF length link list_to_atom list_to_binary
|
||||
syn keyword erlangBIF list_to_float list_to_integer list_to_pid
|
||||
syn keyword erlangBIF list_to_tuple load_module
|
||||
syn keyword erlangBIF make_ref monitor_node
|
||||
syn keyword erlangBIF node nodes now
|
||||
syn keyword erlangBIF open_port
|
||||
syn keyword erlangBIF pid_to_list process_flag
|
||||
syn keyword erlangBIF process_info process put
|
||||
syn keyword erlangBIF register registered round
|
||||
syn keyword erlangBIF self setelement size spawn
|
||||
syn keyword erlangBIF spawn_link split_binary statistics
|
||||
syn keyword erlangBIF term_to_binary throw time tl trunc
|
||||
syn keyword erlangBIF tuple_to_list
|
||||
syn keyword erlangBIF unlink unregister
|
||||
syn keyword erlangBIF whereis
|
||||
|
||||
" Other BIFs
|
||||
syn keyword erlangBIF atom binary constant function integer
|
||||
syn keyword erlangBIF list number pid ports port_close port_info
|
||||
syn keyword erlangBIF reference record
|
||||
" Other BIFs
|
||||
syn keyword erlangBIF atom binary constant function integer
|
||||
syn keyword erlangBIF list number pid ports port_close port_info
|
||||
syn keyword erlangBIF reference record
|
||||
|
||||
" erlang:BIFs
|
||||
syn keyword erlangBIF check_process_code delete_module
|
||||
syn keyword erlangBIF get_cookie hash math module_loaded
|
||||
syn keyword erlangBIF preloaded processes purge_module set_cookie
|
||||
syn keyword erlangBIF set_node
|
||||
" erlang:BIFs
|
||||
syn keyword erlangBIF check_process_code delete_module
|
||||
syn keyword erlangBIF get_cookie hash math module_loaded
|
||||
syn keyword erlangBIF preloaded processes purge_module set_cookie
|
||||
syn keyword erlangBIF set_node
|
||||
|
||||
" functions of math library
|
||||
syn keyword erlangFunction acos asin atan atan2 cos cosh exp
|
||||
syn keyword erlangFunction log log10 pi pow power sin sinh sqrt
|
||||
syn keyword erlangFunction tan tanh
|
||||
" functions of math library
|
||||
syn keyword erlangFunction acos asin atan atan2 cos cosh exp
|
||||
syn keyword erlangFunction log log10 pi pow power sin sinh sqrt
|
||||
syn keyword erlangFunction tan tanh
|
||||
|
||||
" Other functions
|
||||
syn keyword erlangFunction call module_info parse_transform
|
||||
syn keyword erlangFunction undefined_function
|
||||
" Other functions
|
||||
syn keyword erlangFunction call module_info parse_transform
|
||||
syn keyword erlangFunction undefined_function
|
||||
|
||||
" Modules
|
||||
syn keyword erlangModule error_handler
|
||||
" Modules
|
||||
syn keyword erlangModule error_handler
|
||||
endif
|
||||
|
||||
if ! exists ("erlang_keywords")
|
||||
" Constants and Directives
|
||||
syn match erlangDirective "-compile\|-define\|-else\|-endif\|-export\|-file"
|
||||
syn match erlangDirective "-ifdef\|-ifndef\|-import\|-include\|-include_lib"
|
||||
syn match erlangDirective "-module\|-record\|-undef"
|
||||
" Constants and Directives
|
||||
syn match erlangDirective "-behaviour\|-behaviour"
|
||||
syn match erlangDirective "-compile\|-define\|-else\|-endif\|-export\|-file"
|
||||
syn match erlangDirective "-ifdef\|-ifndef\|-import\|-include_lib\|-include"
|
||||
syn match erlangDirective "-module\|-record\|-undef"
|
||||
|
||||
syn match erlangConstant "-author\|-copyright\|-doc"
|
||||
syn match erlangConstant "-author\|-copyright\|-doc\|-vsn"
|
||||
|
||||
" Keywords
|
||||
syn keyword erlangKeyword after begin case catch
|
||||
syn keyword erlangKeyword cond end fun if
|
||||
syn keyword erlangKeyword let of query receive
|
||||
syn keyword erlangKeyword when
|
||||
" Keywords
|
||||
syn keyword erlangKeyword after begin case catch
|
||||
syn keyword erlangKeyword cond end fun if
|
||||
syn keyword erlangKeyword let of query receive
|
||||
syn keyword erlangKeyword when
|
||||
syn keyword erlangKeyword try
|
||||
|
||||
" Processes
|
||||
syn keyword erlangProcess creation current_function dictionary
|
||||
syn keyword erlangProcess group_leader heap_size high initial_call
|
||||
syn keyword erlangProcess linked low memory_in_use message_queue
|
||||
syn keyword erlangProcess net_kernel node normal priority
|
||||
syn keyword erlangProcess reductions registered_name runnable
|
||||
syn keyword erlangProcess running stack_trace status timer
|
||||
syn keyword erlangProcess trap_exit waiting
|
||||
" Processes
|
||||
syn keyword erlangProcess creation current_function dictionary
|
||||
syn keyword erlangProcess group_leader heap_size high initial_call
|
||||
syn keyword erlangProcess linked low memory_in_use message_queue
|
||||
syn keyword erlangProcess net_kernel node normal priority
|
||||
syn keyword erlangProcess reductions registered_name runnable
|
||||
syn keyword erlangProcess running stack_trace status timer
|
||||
syn keyword erlangProcess trap_exit waiting
|
||||
|
||||
" Ports
|
||||
syn keyword erlangPort command count_in count_out creation in
|
||||
syn keyword erlangPort in_format linked node out owner packeting
|
||||
" Ports
|
||||
syn keyword erlangPort command count_in count_out creation in
|
||||
syn keyword erlangPort in_format linked node out owner packeting
|
||||
|
||||
" Nodes
|
||||
syn keyword erlangNode atom_tables communicating creation
|
||||
syn keyword erlangNode current_gc current_reductions current_runtime
|
||||
syn keyword erlangNode current_wall_clock distribution_port
|
||||
syn keyword erlangNode entry_points error_handler friends
|
||||
syn keyword erlangNode garbage_collection magic_cookie magic_cookies
|
||||
syn keyword erlangNode module_table monitored_nodes name next_ref
|
||||
syn keyword erlangNode ports preloaded processes reductions
|
||||
syn keyword erlangNode ref_state registry runtime wall_clock
|
||||
" Nodes
|
||||
syn keyword erlangNode atom_tables communicating creation
|
||||
syn keyword erlangNode current_gc current_reductions current_runtime
|
||||
syn keyword erlangNode current_wall_clock distribution_port
|
||||
syn keyword erlangNode entry_points error_handler friends
|
||||
syn keyword erlangNode garbage_collection magic_cookie magic_cookies
|
||||
syn keyword erlangNode module_table monitored_nodes name next_ref
|
||||
syn keyword erlangNode ports preloaded processes reductions
|
||||
syn keyword erlangNode ref_state registry runtime wall_clock
|
||||
|
||||
" Reserved
|
||||
syn keyword erlangReserved apply_lambda module_info module_lambdas
|
||||
syn keyword erlangReserved record record_index record_info
|
||||
" Reserved
|
||||
syn keyword erlangReserved apply_lambda module_info module_lambdas
|
||||
syn keyword erlangReserved record record_index record_info
|
||||
|
||||
" Extras
|
||||
syn keyword erlangExtra badarg nocookie false fun true
|
||||
" Extras
|
||||
syn keyword erlangExtra badarg nocookie false fun true
|
||||
|
||||
" Signals
|
||||
syn keyword erlangSignal badsig kill killed exit normal
|
||||
" Signals
|
||||
syn keyword erlangSignal badsig kill killed exit normal
|
||||
endif
|
||||
|
||||
|
||||
@ -173,52 +177,53 @@ endif
|
||||
" For version 5.7 and earlier: only when not done already
|
||||
" For version 5.8 and later: only when an item doesn't have highlighting yet
|
||||
if version >= 508 || !exists ("did_erlang_inits")
|
||||
if version < 508
|
||||
let did_erlang_inits = 1
|
||||
command -nargs=+ HiLink hi link <args>
|
||||
else
|
||||
command -nargs=+ HiLink hi def link <args>
|
||||
endif
|
||||
if version < 508
|
||||
let did_erlang_inits = 1
|
||||
command -nargs=+ HiLink hi link <args>
|
||||
else
|
||||
command -nargs=+ HiLink hi def link <args>
|
||||
endif
|
||||
|
||||
" erlang_characters
|
||||
HiLink erlangComment Comment
|
||||
HiLink erlangSpecialCharacter Special
|
||||
HiLink erlangSeparator Normal
|
||||
HiLink erlangModifier Special
|
||||
HiLink erlangOperator Operator
|
||||
HiLink erlangString String
|
||||
HiLink erlangAtom Type
|
||||
" erlang_characters
|
||||
HiLink erlangComment Comment
|
||||
HiLink erlangAnnotation Special
|
||||
HiLink erlangTodo Todo
|
||||
HiLink erlangSpecialCharacter Special
|
||||
HiLink erlangSeparator Normal
|
||||
HiLink erlangModifier Special
|
||||
HiLink erlangOperator Operator
|
||||
HiLink erlangString String
|
||||
HiLink erlangAtom Type
|
||||
|
||||
HiLink erlangNumberInteger Number
|
||||
HiLink erlangNumberFloat1 Float
|
||||
HiLink erlangNumberFloat2 Float
|
||||
HiLink erlangNumberFloat3 Float
|
||||
HiLink erlangNumberFloat4 Float
|
||||
HiLink erlangNumberHex Number
|
||||
HiLink erlangNumberInteger Number
|
||||
HiLink erlangNumberFloat1 Float
|
||||
HiLink erlangNumberFloat2 Float
|
||||
HiLink erlangNumberFloat3 Float
|
||||
HiLink erlangNumberFloat4 Float
|
||||
HiLink erlangNumberHex Number
|
||||
|
||||
HiLink erlangWord Normal
|
||||
HiLink erlangWord Normal
|
||||
|
||||
" erlang_functions
|
||||
HiLink erlangFCall Function
|
||||
HiLink erlangBIF Function
|
||||
HiLink erlangFunction Function
|
||||
HiLink erlangModuleFunction Function
|
||||
" erlang_functions
|
||||
HiLink erlangFCall Function
|
||||
HiLink erlangBIF Function
|
||||
HiLink erlangFunction Function
|
||||
HiLink erlangModuleFunction Function
|
||||
|
||||
" erlang_keywords
|
||||
HiLink erlangDirective Type
|
||||
HiLink erlangConstant Type
|
||||
HiLink erlangKeyword Keyword
|
||||
HiLink erlangProcess Special
|
||||
HiLink erlangPort Special
|
||||
HiLink erlangNode Special
|
||||
HiLink erlangReserved Statement
|
||||
HiLink erlangExtra Statement
|
||||
HiLink erlangSignal Statement
|
||||
" erlang_keywords
|
||||
HiLink erlangDirective Type
|
||||
HiLink erlangConstant Type
|
||||
HiLink erlangKeyword Keyword
|
||||
HiLink erlangProcess Special
|
||||
HiLink erlangPort Special
|
||||
HiLink erlangNode Special
|
||||
HiLink erlangReserved Statement
|
||||
HiLink erlangExtra Statement
|
||||
HiLink erlangSignal Statement
|
||||
|
||||
delcommand HiLink
|
||||
delcommand HiLink
|
||||
endif
|
||||
|
||||
|
||||
let b:current_syntax = "erlang"
|
||||
|
||||
" eof
|
||||
|
||||
@ -82,4 +82,4 @@ if main_syntax == 'eruby'
|
||||
unlet main_syntax
|
||||
endif
|
||||
|
||||
" vim: nowrap sw=2 sts=2 ts=8 ff=unix:
|
||||
" vim: nowrap sw=2 sts=2 ts=8 :
|
||||
|
||||
@ -1,10 +1,10 @@
|
||||
" Vim syntax file
|
||||
" Language: ESTEREL
|
||||
" Maintainer: Maurizio Tranchero <maurizio.tranchero@polito.it> - <maurizio.tranchero@gmail.com>
|
||||
" Credits: Luca Necchi <luca.necchi@polito.it>
|
||||
" Credits: Luca Necchi <luca.necchi@polito.it>, Nikos Andrikos <nick.andrik@gmail.com>
|
||||
" First Release: Tue May 17 23:49:39 CEST 2005
|
||||
" Last Change: Sat Apr 22 14:56:41 CEST 2006
|
||||
" Version: 0.5
|
||||
" Last Change: Tue May 6 13:29:56 CEST 2008
|
||||
" Version: 0.8
|
||||
|
||||
" For version 5.x: Clear all syntax items
|
||||
" For version 6.x: Quit when a syntax file was already loaded
|
||||
@ -28,7 +28,7 @@ syn region esterelIfThen start=/if/ end=/then/ oneline
|
||||
" Esterel Keywords
|
||||
syn keyword esterelIO input output inputoutput constant
|
||||
syn keyword esterelBoolean and or not xor xnor nor nand
|
||||
syn keyword esterelExpressions mod
|
||||
syn keyword esterelExpressions mod pre
|
||||
syn keyword esterelStatement nothing halt
|
||||
syn keyword esterelStatement module signal sensor end
|
||||
syn keyword esterelStatement every do loop abort weak
|
||||
@ -43,20 +43,26 @@ syn keyword esterelStatement relation
|
||||
syn keyword esterelFunctions function procedure task
|
||||
syn keyword esterelSysCall call trap exit exec
|
||||
" Esterel Types
|
||||
syn keyword esterelType integer float bolean
|
||||
syn keyword esterelType integer float bolean
|
||||
" Esterel Comment
|
||||
syn match esterelComment "%.*$"
|
||||
syn match esterelComment "%.*$"
|
||||
" Operators and special characters
|
||||
syn match esterelSpecial ":"
|
||||
syn match esterelSpecial "<="
|
||||
syn match esterelSpecial ">="
|
||||
syn match esterelSpecial ";"
|
||||
syn match esterelOperator "\["
|
||||
syn match esterelOperator "\]"
|
||||
syn match esterelOperator ":="
|
||||
syn match esterelStatement "\<\(if\|else\)\>"
|
||||
syn match esterelNone "\<else\s\+if\>$"
|
||||
syn match esterelNone "\<else\s\+if\>\s"
|
||||
syn match esterelSpecial ":"
|
||||
syn match esterelSpecial "<="
|
||||
syn match esterelSpecial ">="
|
||||
syn match esterelSpecial "+"
|
||||
syn match esterelSpecial "-"
|
||||
syn match esterelSpecial "="
|
||||
syn match esterelSpecial ";"
|
||||
syn match esterelSpecial "/"
|
||||
syn match esterelSpecial "?"
|
||||
syn match esterelOperator "\["
|
||||
syn match esterelOperator "\]"
|
||||
syn match esterelOperator ":="
|
||||
syn match esterelOperator "||"
|
||||
syn match esterelStatement "\<\(if\|else\)\>"
|
||||
syn match esterelNone "\<else\s\+if\>$"
|
||||
syn match esterelNone "\<else\s\+if\>\s"
|
||||
|
||||
" Class Linking
|
||||
if version >= 508 || !exists("did_esterel_syntax_inits")
|
||||
|
||||
@ -1,8 +1,8 @@
|
||||
" Vim syntax file
|
||||
" Vim syntax file for Fvwm-2.5.22
|
||||
" Language: Fvwm{1,2} configuration file
|
||||
" Maintainer: Gautam Iyer <gi1242@users.sourceforge.net>
|
||||
" Previous Maintainer: Haakon Riiser <hakonrk@fys.uio.no>
|
||||
" Last Change: Sat 04 Nov 2006 11:28:37 PM PST
|
||||
" Last Change: Sat 29 Sep 2007 11:08:34 AM PDT
|
||||
"
|
||||
" Thanks to David Necas (Yeti) for adding Fvwm 2.4 support.
|
||||
"
|
||||
@ -43,7 +43,9 @@ syn match fvwmRGBValue "#\x\{9}"
|
||||
syn match fvwmRGBValue "#\x\{12}"
|
||||
syn match fvwmRGBValue "rgb:\x\{1,4}/\x\{1,4}/\x\{1,4}"
|
||||
|
||||
syn region fvwmComment contains=@Spell start="^\s*#" skip='\\$' end='$'
|
||||
syn region fvwmComment contains=@Spell
|
||||
\ start='^\s*#\s' skip='\\$' end='$'
|
||||
syn region fvwmComment start="\v^\s*#(\S|$)" skip='\\$' end='$'
|
||||
|
||||
if (exists("b:fvwm_version") && b:fvwm_version == 1)
|
||||
\ || (exists("use_fvwm_1") && use_fvwm_1)
|
||||
@ -130,12 +132,16 @@ else
|
||||
syn match fvwmShortcutKey contained "&."
|
||||
|
||||
syn keyword fvwmModuleName FvwmAnimate FvwmAudio FvwmAuto FvwmBacker
|
||||
\ FvwmBanner FvwmButtons FvwmCommandS
|
||||
\ FvwmConsole FvwmCpp FvwmDebug FvwmDragWell
|
||||
\ FvwmEvent FvwmForm FvwmGtk FvwmIconBox
|
||||
\ FvwmBanner FvwmButtons FvwmCascade
|
||||
\ FvwmCommandS FvwmConsole FvwmConsoleC
|
||||
\ FvwmCpp FvwmDebug FvwmDragWell FvwmEvent
|
||||
\ FvwmForm FvwmGtkDebug FvwmIconBox
|
||||
\ FvwmIconMan FvwmIdent FvwmM4 FvwmPager
|
||||
\ FvwmSave FvwmSaveDesk FvwmScript FvwmScroll
|
||||
\ FvwmTaskBar FvwmWinList FvwmWharf
|
||||
\ FvwmPerl FvwmProxy FvwmRearrange FvwmSave
|
||||
\ FvwmSaveDesk FvwmScript FvwmScroll FvwmTabs
|
||||
\ FvwmTalk FvwmTaskBar FvwmTheme FvwmTile
|
||||
\ FvwmWharf FvwmWindowMenu FvwmWinList
|
||||
|
||||
" Obsolete fvwmModuleName: FvwmTheme
|
||||
|
||||
syn keyword fvwmKeyword AddToMenu ChangeMenuStyle CopyMenuStyle
|
||||
@ -162,21 +168,22 @@ else
|
||||
\ WindowShadeAnimate IgnoreModifiers
|
||||
\ EdgeCommand EdgeLeaveCommand GnomeButton
|
||||
\ Stroke StrokeFunc FocusStyle DestroyStyle
|
||||
\ UpdateStyles AddToDecor BorderStyle
|
||||
\ ChangeDecor DestroyDecor UpdateDecor
|
||||
\ DesktopName DeskTopSize EdgeResistance
|
||||
\ EdgeScroll EdgeThickness EwmhBaseStruts
|
||||
\ EWMHNumberOfDesktops GotoDeskAndPage
|
||||
\ GotoPage Scroll Xinerama
|
||||
\ DestroyWindowStyle UpdateStyles AddToDecor
|
||||
\ BorderStyle ChangeDecor DestroyDecor
|
||||
\ UpdateDecor DesktopName DeskTopSize
|
||||
\ EdgeResistance EdgeScroll EdgeThickness
|
||||
\ EwmhBaseStruts EWMHNumberOfDesktops
|
||||
\ GotoDeskAndPage GotoPage Scroll Xinerama
|
||||
\ XineramaPrimaryScreen XineramaSls
|
||||
\ XineramaSlsSize XineramaSlsScreens AddToFunc
|
||||
\ Beep DestroyFunc Echo Exec ExecUseShell
|
||||
\ Function Nop PipeRead Read SetEnv Silent
|
||||
\ UnsetEnv Wait DestroyModuleConfig KillModule
|
||||
\ Module ModuleSynchronous ModuleTimeout
|
||||
\ SendToModule Quit QuitScreen QuitSession
|
||||
\ Restart SaveSession SaveQuitSession KeepRc
|
||||
\ NoWindow Break CleanupColorsets
|
||||
\ Module ModuleListenOnly ModuleSynchronous
|
||||
\ ModuleTimeout SendToModule Quit QuitScreen
|
||||
\ QuitSession Restart SaveSession
|
||||
\ SaveQuitSession KeepRc NoWindow Break
|
||||
\ CleanupColorsets EchoFuncDefinition
|
||||
|
||||
" Conditional commands
|
||||
syn keyword fvwmKeyword nextgroup=fvwmCondition skipwhite
|
||||
@ -200,9 +207,12 @@ else
|
||||
\ CurrentPageAnyDesk CurrentScreen FixedSize
|
||||
\ Focused HasHandles HasPointer Iconic
|
||||
\ Iconifiable Maximizable Maximized
|
||||
\ Overlapped PlacedByButton3 PlacedByFvwm Raised
|
||||
\ Shaded Sticky StickyAcrossDesks
|
||||
\ StickyAcrossPages Transient Visible
|
||||
\ Overlapped PlacedByButton PlacedByButton3
|
||||
\ PlacedByFvwm Raised Shaded Sticky
|
||||
\ StickyAcrossDesks StickyAcrossPages
|
||||
\ Transient Visible StickyIcon
|
||||
\ StickyAcrossPagesIcon StickyAcrossDesksIcon
|
||||
|
||||
syn keyword fvwmCondNames contained skipwhite nextgroup=@fvwmConstants
|
||||
\ State Layer
|
||||
|
||||
@ -288,7 +298,7 @@ else
|
||||
\ MinOverlapPlacement
|
||||
\ MinOverlapPercentPlacement
|
||||
\ TileManualPlacement TileCascadePlacement
|
||||
\ CenterPlacement MinOverlapPlacementPenalties
|
||||
\ MinOverlapPlacementPenalties
|
||||
\ MinOverlapPercentPlacementPenalties
|
||||
\ DecorateTransient NakedTransient
|
||||
\ DontRaiseTransient RaiseTransient
|
||||
@ -353,7 +363,8 @@ else
|
||||
\ EWMHUseStackingOrderHints
|
||||
\ EWMHIgnoreStackingOrderHints
|
||||
\ EWMHIgnoreStateHints EWMHUseStateHints
|
||||
\ EWMHIgnoreStrutHints EWMHUseStrutHints
|
||||
\ EWMHIgnoreStrutHints EWMHIgnoreWindowType
|
||||
\ EWMHUseStrutHints
|
||||
\ EWMHMaximizeIgnoreWorkingArea
|
||||
\ EWMHMaximizeUseWorkingArea
|
||||
\ EWMHMaximizeUseDynamicWorkingArea
|
||||
@ -361,6 +372,14 @@ else
|
||||
\ EWMHPlacementUseWorkingArea
|
||||
\ EWMHPlacementUseDynamicWorkingArea
|
||||
\ MoveByProgramMethod Unmanaged State
|
||||
\ StippledIconTitle StickyStippledTitle
|
||||
\ StickyStippledIconTitle
|
||||
\ PositionPlacement
|
||||
\ UnderMousePlacementHonorsStartsOnPage
|
||||
\ UnderMousePlacementIgnoresStartsOnPage
|
||||
\ MinOverlapPlacementPenalties
|
||||
\ MinOverlapPercentPlacementPenalties
|
||||
\ MinWindowSize StartShaded
|
||||
|
||||
" Cursor styles
|
||||
syn keyword fvwmKeyword nextgroup=fvwmCursorStyle skipwhite
|
||||
@ -400,6 +419,7 @@ else
|
||||
\ SelectOnRelease ItemFormat
|
||||
\ VerticalItemSpacing VerticalTitleSpacing
|
||||
\ AutomaticHotkeys AutomaticHotkeysOff
|
||||
\ TitleFont TitleColorset HilightTitleBack
|
||||
|
||||
" Button style
|
||||
syn keyword fvwmKeyword nextgroup=fvwmBNum skipwhite
|
||||
|
||||
@ -1,7 +1,7 @@
|
||||
" Vim syntax file
|
||||
" Language: indent(1) configuration file
|
||||
" Maintainer: Nikolai Weibull <now@bitwi.se>
|
||||
" Latest Revision: 2007-05-10
|
||||
" Latest Revision: 2007-06-17
|
||||
" indent_is_bsd: If exists, will change somewhat to match BSD implementation
|
||||
"
|
||||
" TODO: is the deny-all (a la lilo.vim nice or no?)...
|
||||
@ -15,7 +15,7 @@ endif
|
||||
let s:cpo_save = &cpo
|
||||
set cpo&vim
|
||||
|
||||
setlocal iskeyword=@,48-57,-,+,_
|
||||
setlocal iskeyword+=-,+
|
||||
|
||||
syn match indentError '\S\+'
|
||||
|
||||
|
||||
@ -2,7 +2,7 @@
|
||||
" Language: Java
|
||||
" Maintainer: Claudio Fleiner <claudio@fleiner.com>
|
||||
" URL: http://www.fleiner.com/vim/syntax/java.vim
|
||||
" Last Change: 2006 Apr 30
|
||||
" Last Change: 2007 Dec 21
|
||||
|
||||
" Please check :help java.vim for comments on some of the options available.
|
||||
|
||||
@ -121,6 +121,11 @@ syn match javaUserLabel "^\s*[_$a-zA-Z][_$a-zA-Z0-9_]*\s*:"he=e-1 contai
|
||||
syn keyword javaLabel default
|
||||
|
||||
if !exists("java_allow_cpp_keywords")
|
||||
" The default used to be to highlight C++ keywords. But several people
|
||||
" don't like that, so default to not highlighting these.
|
||||
let java_allow_cpp_keywords = 1
|
||||
endif
|
||||
if !java_allow_cpp_keywords
|
||||
syn keyword javaError auto delete extern friend inline redeclared
|
||||
syn keyword javaError register signed sizeof struct template typedef union
|
||||
syn keyword javaError unsigned operator
|
||||
|
||||
@ -3,7 +3,7 @@
|
||||
" Maintainer: Nam SungHyun <namsh@kldp.org>
|
||||
" Previous Maintainer: Gautam H. Mudunuri <gmudunur@informatica.com>
|
||||
" Version Info:
|
||||
" Last Change: 2004 May 16
|
||||
" Last Change: 2007 Dec 30
|
||||
|
||||
" Additional highlighting by Johannes Tanzler <johannes.tanzler@aon.at>:
|
||||
" * manSubHeading
|
||||
@ -36,7 +36,7 @@ syn match manLongOptionDesc "^\s*--[a-z0-9-]\S*"
|
||||
if getline(1) =~ '^[a-zA-Z_]\+([23])'
|
||||
syntax include @cCode <sfile>:p:h/c.vim
|
||||
syn match manCFuncDefinition display "\<\h\w*\>\s*("me=e-1 contained
|
||||
syn region manSynopsis start="^SYNOPSIS"hs=s+8 end="^\u\+\s*$"he=e-12 keepend contains=manSectionHeading,@cCode,manCFuncDefinition
|
||||
syn region manSynopsis start="^SYNOPSIS"hs=s+8 end="^\u\+\s*$"me=e-12 keepend contains=manSectionHeading,@cCode,manCFuncDefinition
|
||||
endif
|
||||
|
||||
|
||||
|
||||
@ -1,7 +1,7 @@
|
||||
" Vim syntax file
|
||||
" Language: mplayer(1) configuration file
|
||||
" Maintainer: Nikolai Weibull <now@bitwi.se>
|
||||
" Latest Revision: 2006-04-19
|
||||
" Latest Revision: 2007-06-17
|
||||
|
||||
if exists("b:current_syntax")
|
||||
finish
|
||||
@ -10,7 +10,7 @@ endif
|
||||
let s:cpo_save = &cpo
|
||||
set cpo&vim
|
||||
|
||||
setlocal iskeyword=@,48-57,-
|
||||
setlocal iskeyword+=-
|
||||
|
||||
syn keyword mplayerconfTodo contained TODO FIXME XXX NOTE
|
||||
|
||||
|
||||
@ -2,9 +2,9 @@
|
||||
" Language: Mutt setup files
|
||||
" Original: Preben 'Peppe' Guldberg <peppe-vim@wielders.org>
|
||||
" Maintainer: Kyle Wheeler <kyle-muttrc.vim@memoryhole.net>
|
||||
" Last Change: 5 Mar 2007
|
||||
" Last Change: 15 Aug 2007
|
||||
|
||||
" This file covers mutt version 1.5.14 (and most of CVS HEAD)
|
||||
" This file covers mutt version 1.5.16 (and most of CVS HEAD)
|
||||
" Included are also a few features from 1.4.2.1
|
||||
|
||||
" For version 5.x: Clear all syntax items
|
||||
@ -30,18 +30,19 @@ syn match muttrcComment "[^\\]#.*$"lc=1
|
||||
" Escape sequences (back-tick and pipe goes here too)
|
||||
syn match muttrcEscape +\\[#tnr"'Cc ]+
|
||||
syn match muttrcEscape +[`|]+
|
||||
syn match muttrcEscape +\\$+
|
||||
|
||||
" The variables takes the following arguments
|
||||
syn match muttrcString "=\s*[^ #"'`]\+"lc=1 contains=muttrcEscape
|
||||
syn region muttrcString start=+"+ms=e skip=+\\"+ end=+"+ contains=muttrcEscape,muttrcSet,muttrcUnset,muttrcReset,muttrcToggle,muttrcCommand,muttrcAction
|
||||
syn region muttrcString start=+"+ms=e skip=+\\"+ end=+"+ contains=muttrcEscape,muttrcSet,muttrcUnset,muttrcReset,muttrcToggle,muttrcCommand,muttrcAction,muttrcShellString
|
||||
syn region muttrcString start=+'+ms=e skip=+\\'+ end=+'+ contains=muttrcEscape,muttrcSet,muttrcUnset,muttrcReset,muttrcToggle,muttrcCommand,muttrcAction
|
||||
|
||||
syn region muttrcShellString matchgroup=muttrcEscape keepend start=+`+ skip=+\\`+ end=+`+ contains=muttrcVarStr,muttrcVarBool,muttrcVarQuad,muttrcVarNum,muttrcCommand,muttrcSet
|
||||
|
||||
syn match muttrcRXChars contained /[^\\][][.*?+]\+/hs=s+1
|
||||
syn match muttrcRXChars contained /[][|()][.*?+]*/
|
||||
syn match muttrcRXChars contained /'^/ms=s+1
|
||||
syn match muttrcRXChars contained /$'/me=e-1
|
||||
syn match muttrcRXChars contained /['"]^/ms=s+1
|
||||
syn match muttrcRXChars contained /$['"]/me=e-1
|
||||
syn match muttrcRXChars contained /\\/
|
||||
" Why does muttrcRXString2 work with one \ when muttrcRXString requires two?
|
||||
syn region muttrcRXString contained start=+'+ skip=+\\'+ end=+'+ contains=muttrcRXChars
|
||||
@ -78,21 +79,21 @@ syn match muttrcKeyName contained "\c<\%(BackSpace\|Delete\|Down\|End\|Enter\|
|
||||
|
||||
syn keyword muttrcVarBool contained allow_8bit allow_ansi arrow_cursor ascii_chars askbcc
|
||||
syn keyword muttrcVarBool contained askcc attach_split auto_tag autoedit beep beep_new
|
||||
syn keyword muttrcVarBool contained bounce_delivered braille_friendly check_new collapse_unread
|
||||
syn keyword muttrcVarBool contained bounce_delivered braille_friendly check_new check_mbox_size collapse_unread
|
||||
syn keyword muttrcVarBool contained confirmappend confirmcreate crypt_autoencrypt crypt_autopgp
|
||||
syn keyword muttrcVarBool contained crypt_autosign crypt_autosmime crypt_replyencrypt
|
||||
syn keyword muttrcVarBool contained crypt_replysign crypt_replysignencrypted crypt_timestamp
|
||||
syn keyword muttrcVarBool contained crypt_use_gpgme delete_untag digest_collapse duplicate_threads
|
||||
syn keyword muttrcVarBool contained crypt_use_gpgme crypt_use_pka delete_untag digest_collapse duplicate_threads
|
||||
syn keyword muttrcVarBool contained edit_hdrs edit_headers encode_from envelope_from fast_reply
|
||||
syn keyword muttrcVarBool contained fcc_attach fcc_clear followup_to force_name forw_decode
|
||||
syn keyword muttrcVarBool contained forw_decrypt forw_quote forward_decode forward_decrypt
|
||||
syn keyword muttrcVarBool contained forward_quote hdrs header help hidden_host hide_limited
|
||||
syn keyword muttrcVarBool contained hide_missing hide_thread_subject hide_top_limited
|
||||
syn keyword muttrcVarBool contained hide_top_missing ignore_list_reply_to imap_check_subscribed
|
||||
syn keyword muttrcVarBool contained hide_top_missing ignore_linear_white_space ignore_list_reply_to imap_check_subscribed
|
||||
syn keyword muttrcVarBool contained imap_list_subscribed imap_passive imap_peek imap_servernoise
|
||||
syn keyword muttrcVarBool contained implicit_autoview include_onlyfirst keep_flagged
|
||||
syn keyword muttrcVarBool contained mailcap_sanitize maildir_header_cache_verify maildir_trash
|
||||
syn keyword muttrcVarBool contained mark_old markers menu_move_off menu_scroll meta_key
|
||||
syn keyword muttrcVarBool contained mark_old markers menu_move_off menu_scroll message_cache_clean meta_key
|
||||
syn keyword muttrcVarBool contained metoo mh_purge mime_forward_decode narrow_tree pager_stop
|
||||
syn keyword muttrcVarBool contained pgp_auto_decode pgp_auto_traditional pgp_autoencrypt
|
||||
syn keyword muttrcVarBool contained pgp_autoinline pgp_autosign pgp_check_exit
|
||||
@ -206,37 +207,133 @@ syn keyword muttrcVarNum contained connect_timeout history imap_keepalive mail_c
|
||||
syn keyword muttrcVarNum contained pager_context pager_index_lines pgp_timeout pop_checkinterval read_inc
|
||||
syn keyword muttrcVarNum contained save_history score_threshold_delete score_threshold_flag
|
||||
syn keyword muttrcVarNum contained score_threshold_read sendmail_wait sleep_time smime_timeout
|
||||
syn keyword muttrcVarNum contained ssl_min_dh_prime_bits timeout wrap wrapmargin write_inc
|
||||
syn keyword muttrcVarNum contained ssl_min_dh_prime_bits timeout time_inc wrap wrapmargin write_inc
|
||||
|
||||
syn match muttrcStrftimeEscapes contained /%[AaBbCcDdeFGgHhIjklMmnpRrSsTtUuVvWwXxYyZz+%]/
|
||||
syn match muttrcStrftimeEscapes contained /%E[cCxXyY]/
|
||||
syn match muttrcStrftimeEscapes contained /%O[BdeHImMSuUVwWy]/
|
||||
|
||||
syn match muttrcFormatErrors contained /%./
|
||||
|
||||
syn region muttrcIndexFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcIndexFormatEscapes,muttrcIndexFormatConditionals,muttrcFormatErrors,muttrcTimeEscapes
|
||||
syn region muttrcIndexFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcIndexFormatEscapes,muttrcIndexFormatConditionals,muttrcFormatErrors,muttrcTimeEscapes
|
||||
syn region muttrcAliasFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcAliasFormatEscapes,muttrcFormatErrors
|
||||
syn region muttrcAliasFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcAliasFormatEscapes,muttrcFormatErrors
|
||||
syn region muttrcAttachFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcAttachFormatEscapes,muttrcAttachFormatConditionals,muttrcFormatErrors
|
||||
syn region muttrcAttachFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcAttachFormatEscapes,muttrcAttachFormatConditionals,muttrcFormatErrors
|
||||
syn region muttrcComposeFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcComposeFormatEscapes,muttrcFormatErrors
|
||||
syn region muttrcComposeFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcComposeFormatEscapes,muttrcFormatErrors
|
||||
syn region muttrcFolderFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcFolderFormatEscapes,muttrcFolderFormatConditionals,muttrcFormatErrors
|
||||
syn region muttrcFolderFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcFolderFormatEscapes,muttrcFolderFormatConditionals,muttrcFormatErrors
|
||||
syn region muttrcMixFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcMixFormatEscapes,muttrcMixFormatConditionals,muttrcFormatErrors
|
||||
syn region muttrcMixFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcMixFormatEscapes,muttrcMixFormatConditionals,muttrcFormatErrors
|
||||
syn region muttrcPGPFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcPGPFormatEscapes,muttrcPGPFormatConditionals,muttrcFormatErrors,muttrcPGPTimeEscapes
|
||||
syn region muttrcPGPFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcPGPFormatEscapes,muttrcPGPFormatConditionals,muttrcFormatErrors,muttrcPGPTimeEscapes
|
||||
syn region muttrcPGPCmdFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcPGPCmdFormatEscapes,muttrcPGPCmdFormatConditionals,muttrcVariable,muttrcFormatErrors
|
||||
syn region muttrcPGPCmdFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcPGPCmdFormatEscapes,muttrcPGPCmdFormatConditionals,muttrcVariable,muttrcFormatErrors
|
||||
syn region muttrcStatusFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcStatusFormatEscapes,muttrcStatusFormatConditionals,muttrcFormatErrors
|
||||
syn region muttrcStatusFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcStatusFormatEscapes,muttrcStatusFormatConditionals,muttrcFormatErrors
|
||||
syn region muttrcPGPGetKeysFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcPGPGetKeysFormatEscapes,muttrcFormatErrors
|
||||
syn region muttrcPGPGetKeysFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcPGPGetKeysFormatEscapes,muttrcFormatErrors
|
||||
syn region muttrcSmimeFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcSmimeFormatEscapes,muttrcSmimeFormatConditionals,muttrcVariable,muttrcFormatErrors
|
||||
syn region muttrcSmimeFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcSmimeFormatEscapes,muttrcSmimeFormatConditionals,muttrcVariable,muttrcFormatErrors
|
||||
|
||||
" The following info was pulled from hdr_format_str in hdrline.c
|
||||
syn match muttrcIndexFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[aAbBcCdDeEfFHilLmMnNOPsStTuvXyYZ%]/
|
||||
syn match muttrcIndexFormatConditionals contained /%?[EFHlLMNOXyY]?/ nextgroup=muttrcFormatConditionals2
|
||||
" The following info was pulled from alias_format_str in addrbook.c
|
||||
syn match muttrcAliasFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[afnrt%]/
|
||||
" The following info was pulled from mutt_attach_fmt in recvattach.c
|
||||
syn match muttrcAttachFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[CcDdefImMnQstTuX%]/
|
||||
syn match muttrcAttachFormatEscapes contained /%[>|*]./
|
||||
syn match muttrcAttachFormatConditionals contained /%?[CcdDefInmMQstTuX]?/ nextgroup=muttrcFormatConditionals2
|
||||
syn match muttrcFormatConditionals2 contained /[^?]*?/
|
||||
" The following info was pulled from compose_format_str in compose.c
|
||||
syn match muttrcComposeFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[ahlv%]/
|
||||
syn match muttrcComposeFormatEscapes contained /%[>|*]./
|
||||
" The following info was pulled from folder_format_str in browser.c
|
||||
syn match muttrcFolderFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[CdfFglNstu%]/
|
||||
syn match muttrcFolderFormatEscapes contained /%[>|*]./
|
||||
syn match muttrcFolderFormatConditionals contained /%?[N]?/
|
||||
" The following info was pulled from mix_entry_fmt in remailer.c
|
||||
syn match muttrcMixFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[ncsa%]/
|
||||
syn match muttrcMixFormatConditionals contained /%?[ncsa]?/
|
||||
" The following info was pulled from crypt_entry_fmt in crypt-gpgme.c
|
||||
" and pgp_entry_fmt in pgpkey.c (note that crypt_entry_fmt supports
|
||||
" 'p', but pgp_entry_fmt does not).
|
||||
syn match muttrcPGPFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[nkualfctp%]/
|
||||
syn match muttrcPGPFormatConditionals contained /%?[nkualfct]?/
|
||||
" The following info was pulled from _mutt_fmt_pgp_command in
|
||||
" pgpinvoke.c
|
||||
syn match muttrcPGPCmdFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[pfsar%]/
|
||||
syn match muttrcPGPCmdFormatConditionals contained /%?[pfsar]?/ nextgroup=muttrcFormatConditionals2
|
||||
" The following info was pulled from status_format_str in status.c
|
||||
syn match muttrcStatusFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[bdfFhlLmMnopPrsStuvV%]/
|
||||
syn match muttrcStatusFormatEscapes contained /%[>|*]./
|
||||
syn match muttrcStatusFormatConditionals contained /%?[bdFlLmMnoptuV]?/ nextgroup=muttrcFormatConditionals2
|
||||
" This matches the documentation, but directly contradicts the code
|
||||
" (according to the code, this should be identical to the
|
||||
" muttrcPGPCmdFormatEscapes
|
||||
syn match muttrcPGPGetKeysFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[r%]/
|
||||
" The following info was pulled from _mutt_fmt_smime_command in
|
||||
" smime.c
|
||||
syn match muttrcSmimeFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[Cciskaf%]/
|
||||
syn match muttrcSmimeFormatConditionals contained /%?[Cciskaf]?/ nextgroup=muttrcFormatConditionals2
|
||||
|
||||
syn region muttrcTimeEscapes contained start=+%{+ end=+}+ contains=muttrcStrftimeEscapes
|
||||
syn region muttrcTimeEscapes contained start=+%\[+ end=+\]+ contains=muttrcStrftimeEscapes
|
||||
syn region muttrcTimeEscapes contained start=+%(+ end=+)+ contains=muttrcStrftimeEscapes
|
||||
syn region muttrcTimeEscapes contained start=+%<+ end=+>+ contains=muttrcStrftimeEscapes
|
||||
syn region muttrcPGPTimeEscapes contained start=+%\[+ end=+\]+ contains=muttrcStrftimeEscapes
|
||||
|
||||
syn keyword muttrcVarStr contained attribution index_format message_format pager_format nextgroup=muttrcVarEqualsIdxFmt
|
||||
syn match muttrcVarEqualsIdxFmt contained "=" nextgroup=muttrcIndexFormatStr
|
||||
syn keyword muttrcVarStr contained alias_format nextgroup=muttrcVarEqualsAliasFmt
|
||||
syn match muttrcVarEqualsAliasFmt contained "=" nextgroup=muttrcAliasFormatStr
|
||||
syn keyword muttrcVarStr contained attach_format nextgroup=muttrcVarEqualsAttachFmt
|
||||
syn match muttrcVarEqualsAttachFmt contained "=" nextgroup=muttrcAttachFormatStr
|
||||
syn keyword muttrcVarStr contained compose_format nextgroup=muttrcVarEqualsComposeFmt
|
||||
syn match muttrcVarEqualsComposeFmt contained "=" nextgroup=muttrcComposeFormatStr
|
||||
syn keyword muttrcVarStr contained folder_format nextgroup=muttrcVarEqualsFolderFmt
|
||||
syn match muttrcVarEqualsFolderFmt contained "=" nextgroup=muttrcFolderFormatStr
|
||||
syn keyword muttrcVarStr contained mix_entry_format nextgroup=muttrcVarEqualsMixFmt
|
||||
syn match muttrcVarEqualsMixFmt contained "=" nextgroup=muttrcMixFormatStr
|
||||
syn keyword muttrcVarStr contained pgp_entry_format nextgroup=muttrcVarEqualsPGPFmt
|
||||
syn match muttrcVarEqualsPGPFmt contained "=" nextgroup=muttrcPGPFormatStr
|
||||
syn keyword muttrcVarStr contained pgp_decode_command pgp_verify_command pgp_decrypt_command pgp_clearsign_command pgp_sign_command pgp_encrypt_sign_command pgp_encrypt_only_command pgp_import_command pgp_export_command pgp_verify_key_command pgp_list_secring_command pgp_list_pubring_command nextgroup=muttrcVarEqualsPGPCmdFmt
|
||||
syn match muttrcVarEqualsPGPCmdFmt contained "=" nextgroup=muttrcPGPCmdFormatStr
|
||||
syn keyword muttrcVarStr contained status_format nextgroup=muttrcVarEqualsStatusFmt
|
||||
syn match muttrcVarEqualsStatusFmt contained "=" nextgroup=muttrcStatusFormatStr
|
||||
syn keyword muttrcVarStr contained pgp_getkeys_command nextgroup=muttrcVarEqualsPGPGetKeysFmt
|
||||
syn match muttrcVarEqualsPGPGetKeysFmt contained "=" nextgroup=muttrcPGPGetKeysFormatStr
|
||||
syn keyword muttrcVarStr contained smime_decrypt_command smime_verify_command smime_verify_opaque_command smime_sign_command smime_sign_opaque_command smime_encrypt_command smime_pk7out_command smime_get_cert_command smime_get_signer_cert_command smime_import_cert_command smime_get_cert_email_command nextgroup=muttrcVarEqualsSmimeFmt
|
||||
syn match muttrcVarEqualsSmimeFmt contained "=" nextgroup=muttrcSmimeFormatStr
|
||||
|
||||
syn match muttrcVarStr contained 'my_[a-zA-Z0-9_]\+'
|
||||
syn keyword muttrcVarStr contained alias_file alias_format assumed_charset attach_format attach_sep attribution
|
||||
syn keyword muttrcVarStr contained certificate_file charset compose_format config_charset content_type
|
||||
syn keyword muttrcVarStr contained alias_file assumed_charset attach_charset attach_sep
|
||||
syn keyword muttrcVarStr contained certificate_file charset config_charset content_type
|
||||
syn keyword muttrcVarStr contained date_format default_hook display_filter dotlock_program dsn_notify
|
||||
syn keyword muttrcVarStr contained dsn_return editor entropy_file envelope_from_address escape folder
|
||||
syn keyword muttrcVarStr contained folder_format forw_format forward_format from gecos_mask hdr_format
|
||||
syn keyword muttrcVarStr contained forw_format forward_format from gecos_mask hdr_format
|
||||
syn keyword muttrcVarStr contained header_cache header_cache_pagesize history_file hostname imap_authenticators
|
||||
syn keyword muttrcVarStr contained imap_delim_chars imap_headers imap_home_namespace imap_idle imap_login imap_pass
|
||||
syn keyword muttrcVarStr contained imap_user indent_str indent_string index_format ispell locale mailcap_path
|
||||
syn keyword muttrcVarStr contained mask mbox mbox_type message_format message_cachedir mh_seq_flagged mh_seq_replied
|
||||
syn keyword muttrcVarStr contained mh_seq_unseen mix_entry_format mixmaster msg_format pager pager_format
|
||||
syn keyword muttrcVarStr contained pgp_clearsign_command pgp_decode_command pgp_decrypt_command
|
||||
syn keyword muttrcVarStr contained pgp_encrypt_only_command pgp_encrypt_sign_command pgp_entry_format
|
||||
syn keyword muttrcVarStr contained pgp_export_command pgp_getkeys_command pgp_good_sign pgp_import_command
|
||||
syn keyword muttrcVarStr contained pgp_list_pubring_command pgp_list_secring_command pgp_mime_signature_filename
|
||||
syn keyword muttrcVarStr contained imap_delim_chars imap_headers imap_idle imap_login imap_pass
|
||||
syn keyword muttrcVarStr contained imap_user indent_str indent_string ispell locale mailcap_path
|
||||
syn keyword muttrcVarStr contained mask mbox mbox_type message_cachedir mh_seq_flagged mh_seq_replied
|
||||
syn keyword muttrcVarStr contained mh_seq_unseen mixmaster msg_format pager
|
||||
syn keyword muttrcVarStr contained pgp_good_sign
|
||||
syn keyword muttrcVarStr contained pgp_mime_signature_filename
|
||||
syn keyword muttrcVarStr contained pgp_mime_signature_description pgp_sign_as
|
||||
syn keyword muttrcVarStr contained pgp_sign_command pgp_sort_keys pgp_verify_command pgp_verify_key_command
|
||||
syn keyword muttrcVarStr contained pgp_sort_keys
|
||||
syn keyword muttrcVarStr contained pipe_sep pop_authenticators pop_host pop_pass pop_user post_indent_str
|
||||
syn keyword muttrcVarStr contained post_indent_string postponed preconnect print_cmd print_command
|
||||
syn keyword muttrcVarStr contained query_command quote_regexp realname record reply_regexp send_charset
|
||||
syn keyword muttrcVarStr contained sendmail shell signature simple_search smileys smime_ca_location
|
||||
syn keyword muttrcVarStr contained smime_certificates smime_decrypt_command smime_default_key
|
||||
syn keyword muttrcVarStr contained smime_encrypt_command smime_encrypt_with smime_get_cert_command
|
||||
syn keyword muttrcVarStr contained smime_get_cert_email_command smime_get_signer_cert_command
|
||||
syn keyword muttrcVarStr contained smime_import_cert_command smime_keys smime_pk7out_command smime_sign_as
|
||||
syn keyword muttrcVarStr contained smime_sign_command smime_sign_opaque_command smime_verify_command
|
||||
syn keyword muttrcVarStr contained smime_verify_opaque_command smtp_url smtp_authenticators sort sort_alias sort_aux
|
||||
syn keyword muttrcVarStr contained smime_certificates smime_default_key
|
||||
syn keyword muttrcVarStr contained smime_encrypt_with
|
||||
syn keyword muttrcVarStr contained smime_keys smime_sign_as
|
||||
syn keyword muttrcVarStr contained smtp_url smtp_authenticators smtp_pass sort sort_alias sort_aux
|
||||
syn keyword muttrcVarStr contained sort_browser spam_separator spoolfile ssl_ca_certificates_file ssl_client_cert
|
||||
syn keyword muttrcVarStr contained status_chars status_format tmpdir to_chars tunnel visual
|
||||
syn keyword muttrcVarStr contained status_chars tmpdir to_chars tunnel visual
|
||||
|
||||
" Present in 1.4.2.1 (pgp_create_traditional was a bool then)
|
||||
syn keyword muttrcVarBool contained imap_force_ssl imap_force_ssl noinvimap_force_ssl
|
||||
@ -372,12 +469,13 @@ syn match muttrcUnAlias /^\s*unalias\s\?/ nextgroup=muttrcUnAliasKey,muttrcUnAl
|
||||
|
||||
syn match muttrcSimplePat contained "!\?\^\?[~][ADEFgGklNOpPQRSTuUvV=$]"
|
||||
syn match muttrcSimplePat contained "!\?\^\?[~][mnXz]\s\+\%([<>-][0-9]\+\|[0-9]\+[-][0-9]*\)"
|
||||
syn match muttrcSimplePat contained "!\?\^\?[~][dr]\s\+\%(\%(-\?[0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)*\)\|\%(\%([0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)*\)-\%([0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)\?\)\?\)\|\%([<>=][0-9]\+[ymwd]\)\)"
|
||||
syn match muttrcSimplePat contained "!\?\^\?[~][dr]\s\+\%(\%(-\?[0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)*\)\|\%(\%([0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)*\)-\%([0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)\?\)\?\)\|\%([<>=][0-9]\+[ymwd]\)\|\%(`[^`]\+`\)\|\%(\$[a-zA-Z0-9_-]\+\)\)" contains=muttrcShellString,muttrcVariable
|
||||
syn match muttrcSimplePat contained "!\?\^\?[~][bBcCefhHiLstxy]\s\+" nextgroup=muttrcSimplePatRXContainer
|
||||
syn match muttrcSimplePat contained "!\?\^\?[%][bBcCefhHiLstxy]\s\+" nextgroup=muttrcSimplePatString
|
||||
syn match muttrcSimplePat contained "!\?\^\?[=][bh]\s\+" nextgroup=muttrcSimplePatString
|
||||
"syn match muttrcSimplePat contained /"[^~=%][^"]*/ contains=muttrcRXPat
|
||||
"syn match muttrcSimplePat contained /'[^~=%][^']*/ contains=muttrcRXPat
|
||||
syn region muttrcSimplePat contained keepend start=+!\?\^\?[~](+ end=+)+ contains=muttrcSimplePat
|
||||
"syn match muttrcSimplePat contained /'[^~=%][^']*/
|
||||
"contains=muttrcRXPat
|
||||
syn match muttrcSimplePatString contained /[a-zA-Z0-9]\+/
|
||||
syn region muttrcSimplePatString contained keepend start=+"+ end=+"+ skip=+\\"+
|
||||
syn region muttrcSimplePatString contained keepend start=+'+ end=+'+ skip=+\\'+
|
||||
@ -388,7 +486,7 @@ syn match muttrcSimplePatMetas contained /[(|)]/
|
||||
|
||||
syn region muttrcPattern contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcPatternInner
|
||||
syn region muttrcPattern contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcPatternInner
|
||||
syn match muttrcPattern contained "[~][A-Za-z]" contains=muttrcSimplePat
|
||||
syn match muttrcPattern contained "[~]\([A-Za-z]\|([^)]\+)\)" contains=muttrcSimplePat
|
||||
syn region muttrcPatternInner contained keepend start=+"[~=%!(^]+ms=s+1 skip=+\\"+ end=+"+me=e-1 contains=muttrcSimplePat,muttrcUnHighlightSpace,muttrcSimplePatMetas
|
||||
syn region muttrcPatternInner contained keepend start=+'[~=%!(^]+ms=s+1 skip=+\\'+ end=+'+me=e-1 contains=muttrcSimplePat,muttrcUnHighlightSpace,muttrcSimplePatMetas
|
||||
|
||||
@ -535,6 +633,41 @@ if version >= 508 || !exists("did_muttrc_syntax_inits")
|
||||
HiLink muttrcRXHookNot Type
|
||||
HiLink muttrcPatHooks muttrcCommand
|
||||
HiLink muttrcPatHookNot Type
|
||||
HiLink muttrcFormatConditionals2 Type
|
||||
HiLink muttrcIndexFormatStr muttrcString
|
||||
HiLink muttrcIndexFormatEscapes muttrcEscape
|
||||
HiLink muttrcIndexFormatConditionals muttrcFormatConditionals2
|
||||
HiLink muttrcAliasFormatStr muttrcString
|
||||
HiLink muttrcAliasFormatEscapes muttrcEscape
|
||||
HiLink muttrcAttachFormatStr muttrcString
|
||||
HiLink muttrcAttachFormatEscapes muttrcEscape
|
||||
HiLink muttrcAttachFormatConditionals muttrcFormatConditionals2
|
||||
HiLink muttrcComposeFormatStr muttrcString
|
||||
HiLink muttrcComposeFormatEscapes muttrcEscape
|
||||
HiLink muttrcFolderFormatStr muttrcString
|
||||
HiLink muttrcFolderFormatEscapes muttrcEscape
|
||||
HiLink muttrcFolderFormatConditionals muttrcFormatConditionals2
|
||||
HiLink muttrcMixFormatStr muttrcString
|
||||
HiLink muttrcMixFormatEscapes muttrcEscape
|
||||
HiLink muttrcMixFormatConditionals muttrcFormatConditionals2
|
||||
HiLink muttrcPGPFormatStr muttrcString
|
||||
HiLink muttrcPGPFormatEscapes muttrcEscape
|
||||
HiLink muttrcPGPFormatConditionals muttrcFormatConditionals2
|
||||
HiLink muttrcPGPCmdFormatStr muttrcString
|
||||
HiLink muttrcPGPCmdFormatEscapes muttrcEscape
|
||||
HiLink muttrcPGPCmdFormatConditionals muttrcFormatConditionals2
|
||||
HiLink muttrcStatusFormatStr muttrcString
|
||||
HiLink muttrcStatusFormatEscapes muttrcEscape
|
||||
HiLink muttrcStatusFormatConditionals muttrcFormatConditionals2
|
||||
HiLink muttrcPGPGetKeysFormatStr muttrcString
|
||||
HiLink muttrcPGPGetKeysFormatEscapes muttrcEscape
|
||||
HiLink muttrcSmimeFormatStr muttrcString
|
||||
HiLink muttrcSmimeFormatEscapes muttrcEscape
|
||||
HiLink muttrcSmimeFormatConditionals muttrcFormatConditionals2
|
||||
HiLink muttrcTimeEscapes muttrcEscape
|
||||
HiLink muttrcPGPTimeEscapes muttrcEscape
|
||||
HiLink muttrcStrftimeEscapes Type
|
||||
HiLink muttrcFormatErrors Error
|
||||
|
||||
HiLink muttrcBindFunctionNL SpecialChar
|
||||
HiLink muttrcBindKeyNL SpecialChar
|
||||
@ -564,4 +697,4 @@ endif
|
||||
|
||||
let b:current_syntax = "muttrc"
|
||||
|
||||
"EOF vim: ts=8 noet tw=100 sw=8 sts=0
|
||||
"EOF vim: ts=8 noet tw=100 sw=8 sts=0 ft=vim
|
||||
|
||||
@ -1,7 +1,10 @@
|
||||
" Vim syntax file
|
||||
" Language: po (gettext)
|
||||
" Maintainer: Dwayne Bailey <dwayne@translate.org.za>
|
||||
" Last Change: 2004 Nov 13
|
||||
" Last Change: 2008 Jan 08
|
||||
" Contributors: Dwayne Bailey (Most advanced syntax highlighting)
|
||||
" Leonardo Fontenelle (Spell checking)
|
||||
" Nam SungHyun <namsh@kldp.org> (Original maintainer)
|
||||
|
||||
" For version 5.x: Clear all syntax items
|
||||
" For version 6.x: Quit when a syntax file was already loaded
|
||||
@ -14,26 +17,30 @@ endif
|
||||
syn sync minlines=10
|
||||
|
||||
" Identifiers
|
||||
syn match poStatementMsgCTxt "^msgctxt"
|
||||
syn match poStatementMsgidplural "^msgid_plural" contained
|
||||
syn match poPluralCaseN "[0-9]" contained
|
||||
syn match poStatementMsgstr "^msgstr\(\[[0-9]\]\)" contains=poPluralCaseN
|
||||
|
||||
" Simple HTML and XML highlighting
|
||||
syn match poHtml "<[^<>]\+>" contains=poHtmlTranslatables
|
||||
syn match poHtml "<\_[^<>]\+>" contains=poHtmlTranslatables,poLineBreak
|
||||
syn match poHtmlNot +"<[^<]\+>"+ms=s+1,me=e-1
|
||||
syn region poHtmlTranslatables start=+alt=\\"+ms=e-1 end=+\\"+ contained
|
||||
syn region poHtmlTranslatables start=+\(abbr\|alt\|content\|summary\|standby\|title\)=\\"+ms=e-1 end=+\\"+ contained contains=@Spell
|
||||
syn match poLineBreak +"\n"+ contained
|
||||
|
||||
" Translation blocks
|
||||
syn region poMsgCTxt matchgroup=poStatementMsgCTxt start=+^msgctxt "+rs=e-1 matchgroup=poStringCTxt end=+^msgid "+me=s-1 contains=poStringCTxt
|
||||
syn region poMsgID matchgroup=poStatementMsgid start=+^msgid "+rs=e-1 matchgroup=poStringID end=+^msgstr\(\|\[[\]0\[]\]\) "+me=s-1 contains=poStringID,poStatementMsgidplural,poStatementMsgid
|
||||
syn region poMsgSTR matchgroup=poStatementMsgstr start=+^msgstr\(\|\[[\]0\[]\]\) "+rs=e-1 matchgroup=poStringSTR end=+\n\n+me=s-1 contains=poStringSTR,poStatementMsgstr
|
||||
syn region poStringCTxt start=+"+ skip=+\\\\\|\\"+ end=+"+
|
||||
syn region poStringID start=+"+ skip=+\\\\\|\\"+ end=+"+ contained
|
||||
\ contains=poSpecial,poFormat,poCommentKDE,poPluralKDE,poKDEdesktopFile,poHtml,poAccelerator,poHtmlNot,poVariable
|
||||
\ contains=poSpecial,poFormat,poCommentKDE,poPluralKDE,poKDEdesktopFile,poHtml,poAcceleratorId,poHtmlNot,poVariable
|
||||
syn region poStringSTR start=+"+ skip=+\\\\\|\\"+ end=+"+ contained
|
||||
\ contains=poSpecial,poFormat,poHeaderItem,poCommentKDEError,poHeaderUndefined,poPluralKDEError,poMsguniqError,poKDEdesktopFile,poHtml,poAccelerator,poHtmlNot,poVariable
|
||||
\ contains=@Spell,poSpecial,poFormat,poHeaderItem,poCommentKDEError,poHeaderUndefined,poPluralKDEError,poMsguniqError,poKDEdesktopFile,poHtml,poAcceleratorStr,poHtmlNot,poVariable
|
||||
|
||||
" Header and Copyright
|
||||
syn match poHeaderItem "\(Project-Id-Version\|Report-Msgid-Bugs-To\|POT-Creation-Date\|PO-Revision-Date\|Last-Translator\|Language-Team\|MIME-Version\|Content-Type\|Content-Transfer-Encoding\|Plural-Forms\|X-Generator\): " contained
|
||||
syn match poHeaderUndefined "\(PACKAGE VERSION\|YEAR-MO-DA HO:MI+ZONE\|FULL NAME <EMAIL@ADDRESS>\|LANGUAGE <LL@li.org>\|text/plain; charset=CHARSET\|ENCODING\)" contained
|
||||
syn match poHeaderUndefined "\(PACKAGE VERSION\|YEAR-MO-DA HO:MI+ZONE\|FULL NAME <EMAIL@ADDRESS>\|LANGUAGE <LL@li.org>\|CHARSET\|ENCODING\|INTEGER\|EXPRESSION\)" contained
|
||||
syn match poCopyrightUnset "SOME DESCRIPTIVE TITLE\|FIRST AUTHOR <EMAIL@ADDRESS>, YEAR\|Copyright (C) YEAR Free Software Foundation, Inc\|YEAR THE PACKAGE\'S COPYRIGHT HOLDER\|PACKAGE" contained
|
||||
|
||||
" Translation comment block including: translator comment, automatic coments, flags and locations
|
||||
@ -63,7 +70,8 @@ syn match poObsolete "^#\~.*$"
|
||||
syn match poKDEdesktopFile "\"\(Name\|Comment\|GenericName\|Description\|Keywords\|About\)="ms=s+1,me=e-1
|
||||
|
||||
" Accelerator keys - this messes up if the preceding or following char is a multibyte unicode char
|
||||
syn match poAccelerator contained "[^&_~][&_~]\(\a\|\d\)[^:]"ms=s+1,me=e-1
|
||||
syn match poAcceleratorId contained "[^&_~][&_~]\(\a\|\d\)[^:]"ms=s+1,me=e-1
|
||||
syn match poAcceleratorStr contained "[^&_~][&_~]\(\a\|\d\)[^:]"ms=s+1,me=e-1 contains=@Spell
|
||||
|
||||
" Variables simple
|
||||
syn match poVariable contained "%\d"
|
||||
@ -91,8 +99,10 @@ if version >= 508 || !exists("did_po_syn_inits")
|
||||
HiLink poStatementMsgid Statement
|
||||
HiLink poStatementMsgstr Statement
|
||||
HiLink poStatementMsgidplural Statement
|
||||
HiLink poStatementMsgCTxt Statement
|
||||
HiLink poPluralCaseN Constant
|
||||
|
||||
HiLink poStringCTxt Comment
|
||||
HiLink poStringID String
|
||||
HiLink poStringSTR String
|
||||
HiLink poCommentKDE Comment
|
||||
@ -106,11 +116,13 @@ if version >= 508 || !exists("did_po_syn_inits")
|
||||
HiLink poHtml Identifier
|
||||
HiLink poHtmlNot String
|
||||
HiLink poHtmlTranslatables String
|
||||
HiLink poLineBreak String
|
||||
|
||||
HiLink poFormat poSpecial
|
||||
HiLink poSpecial Special
|
||||
HiLink poAccelerator Special
|
||||
HiLink poVariable Special
|
||||
HiLink poAcceleratorId Special
|
||||
HiLink poAcceleratorStr Special
|
||||
HiLink poVariable Special
|
||||
|
||||
HiLink poMsguniqError Special
|
||||
HiLink poMsguniqErrorMarkers Comment
|
||||
|
||||
@ -1,7 +1,7 @@
|
||||
" Vim syntax file
|
||||
" Language: readline(3) configuration file
|
||||
" Maintainer: Nikolai Weibull <now@bitwi.se>
|
||||
" Latest Revision: 2006-04-19
|
||||
" Latest Revision: 2007-06-17
|
||||
" readline_has_bash - if defined add support for bash specific
|
||||
" settings/functions
|
||||
|
||||
@ -12,7 +12,7 @@ endif
|
||||
let s:cpo_save = &cpo
|
||||
set cpo&vim
|
||||
|
||||
setlocal iskeyword=@,48-57,-
|
||||
setlocal iskeyword+=-
|
||||
|
||||
syn keyword readlineTodo contained TODO FIXME XXX NOTE
|
||||
|
||||
|
||||
@ -1,8 +1,8 @@
|
||||
" Vim syntax file
|
||||
" Language: R Help File
|
||||
" Maintainer: Johannes Ranke <jranke@uni-bremen.de>
|
||||
" Last Change: 2006 Apr 24
|
||||
" Version: 0.7
|
||||
" Last Change: 2008 Apr 10
|
||||
" Version: 0.7.1
|
||||
" SVN: $Id$
|
||||
" Remarks: - Now includes R syntax highlighting in the appropriate
|
||||
" sections if an r.vim file is in the same directory or in the
|
||||
@ -107,6 +107,7 @@ syn match rhelpSection "\\tabular{[lcr]*}"
|
||||
syn match rhelpSection "\\dontrun\>"
|
||||
syn match rhelpSection "\\dontshow\>"
|
||||
syn match rhelpSection "\\testonly\>"
|
||||
syn match rhelpSection "\\donttest\>"
|
||||
|
||||
" Freely named Sections {{{1
|
||||
syn region rhelpFreesec matchgroup=Delimiter start="\\section{" matchgroup=Delimiter transparent end=/}/
|
||||
|
||||
File diff suppressed because it is too large
Load Diff
@ -1,7 +1,7 @@
|
||||
" Vim syntax file
|
||||
" Language: sudoers(5) configuration files
|
||||
" Maintainer: Nikolai Weibull <now@bitwi.se>
|
||||
" Latest Revision: 2006-04-19
|
||||
" Latest Revision: 2007-08-02
|
||||
|
||||
if exists("b:current_syntax")
|
||||
finish
|
||||
@ -156,7 +156,7 @@ syn keyword sudoersListParameter contained env_check env_delete env_keep next
|
||||
|
||||
syn match sudoersParameterListComma contained ',' nextgroup=@sudoersParameter skipwhite skipnl
|
||||
|
||||
syn cluster sudoersParameter contains=sudoersBooleanParameter,sudoersIntegerParameterEquals,sudoersStringParameter,sudoersListParameter
|
||||
syn cluster sudoersParameter contains=sudoersBooleanParameter,sudoersIntegerParameter,sudoersStringParameter,sudoersListParameter
|
||||
|
||||
syn match sudoersIntegerParameterEquals contained '[+-]\==' nextgroup=sudoersIntegerValue skipwhite skipnl
|
||||
syn match sudoersStringParameterEquals contained '[+-]\==' nextgroup=sudoersStringValue skipwhite skipnl
|
||||
|
||||
@ -1,9 +1,9 @@
|
||||
" Vim syntax file
|
||||
" Language: tpp - Text Presentation Program
|
||||
" Maintainer: Debian VIM Maintainers <pkg-vim-maintainers@lists.alioth.debian.org>
|
||||
" Maintainer: Debian Vim Maintainers <pkg-vim-maintainers@lists.alioth.debian.org>
|
||||
" Former Maintainer: Gerfried Fuchs <alfie@ist.org>
|
||||
" Last Change: $LastChangedDate: 2006-04-16 22:06:40 -0400 (dom, 16 apr 2006) $
|
||||
" URL: http://svn.debian.org/wsvn/pkg-vim/trunk/runtime/syntax/tpp.vim?op=file&rev=0&sc=0
|
||||
" Last Change: 2007-10-14
|
||||
" URL: http://git.debian.org/?p=pkg-vim/vim.git;a=blob_plain;f=runtime/syntax/tpp.vim;hb=debian
|
||||
" Filenames: *.tpp
|
||||
" License: BSD
|
||||
"
|
||||
|
||||
@ -1,7 +1,13 @@
|
||||
" Vim syntax file
|
||||
" Language: Verilog-AMS
|
||||
" Maintainer: S. Myles Prather <smprather@gmail.com>
|
||||
" Last Update: Sun Aug 14 03:58:00 CST 2003
|
||||
" Language: Verilog-AMS
|
||||
" Maintainer: S. Myles Prather <smprather@gmail.com>
|
||||
"
|
||||
" Version 1.1 S. Myles Prather <smprather@gmail.com>
|
||||
" Moved some keywords to the type category.
|
||||
" Added the metrix suffixes to the number matcher.
|
||||
" Version 1.2 Prasanna Tamhankar <pratam@gmail.com>
|
||||
" Minor reserved keyword updates.
|
||||
" Last Update: Thursday September 15 15:36:03 CST 2005
|
||||
|
||||
" For version 5.x: Clear all syntax items
|
||||
" For version 6.x: Quit when a syntax file was already loaded
|
||||
@ -21,17 +27,17 @@ endif
|
||||
" Annex B.1 'All keywords'
|
||||
syn keyword verilogamsStatement above abs absdelay acos acosh ac_stim
|
||||
syn keyword verilogamsStatement always analog analysis and asin
|
||||
syn keyword verilogamsStatement asinh assign atan atan2 atanh branch
|
||||
syn keyword verilogamsStatement buf bufif1 ceil cmos
|
||||
syn keyword verilogamsStatement asinh assign atan atan2 atanh
|
||||
syn keyword verilogamsStatement buf bufif0 bufif1 ceil cmos connectmodule
|
||||
syn keyword verilogamsStatement connectrules cos cosh cross ddt ddx deassign
|
||||
syn keyword verilogamsStatement defparam disable discipline
|
||||
syn keyword verilogamsStatement driver_update edge enddiscipline
|
||||
syn keyword verilogamsStatement endconnectrules endmodule endfunction
|
||||
syn keyword verilogamsStatement endconnectrules endmodule endfunction endgenerate
|
||||
syn keyword verilogamsStatement endnature endparamset endprimitive endspecify
|
||||
syn keyword verilogamsStatement endtable endtask event exp final_step
|
||||
syn keyword verilogamsStatement flicker_noise floor flow force fork
|
||||
syn keyword verilogamsStatement function generate genvar highz0
|
||||
syn keyword verilogamsStatement highz1 hypot idt idtmod if ifnone initial
|
||||
syn keyword verilogamsStatement function generate highz0
|
||||
syn keyword verilogamsStatement highz1 hypot idt idtmod if ifnone inf initial
|
||||
syn keyword verilogamsStatement initial_step inout input join
|
||||
syn keyword verilogamsStatement laplace_nd laplace_np laplace_zd laplace_zp
|
||||
syn keyword verilogamsStatement large last_crossing limexp ln localparam log
|
||||
@ -40,17 +46,18 @@ syn keyword verilogamsStatement negedge net_resolution nmos noise_table nor not
|
||||
syn keyword verilogamsStatement notif0 notif1 or output paramset pmos
|
||||
syn keyword verilogamsType parameter real integer electrical input output
|
||||
syn keyword verilogamsType inout reg tri tri0 tri1 triand trior trireg
|
||||
syn keyword verilogamsType string from exclude aliasparam ground
|
||||
syn keyword verilogamsType string from exclude aliasparam ground genvar
|
||||
syn keyword verilogamsType branch time realtime
|
||||
syn keyword verilogamsStatement posedge potential pow primitive pull0 pull1
|
||||
syn keyword verilogamsStatement pullup pulldown rcmos release
|
||||
syn keyword verilogamsStatement rnmos rpmos rtran rtranif0 rtranif1
|
||||
syn keyword verilogamsStatement scalared sin sinh slew small specify specparam
|
||||
syn keyword verilogamsStatement sqrt strong0 strong1 supply0 supply1
|
||||
syn keyword verilogamsStatement table tan tanh task time timer tran tranif0
|
||||
syn keyword verilogamsStatement table tan tanh task timer tran tranif0
|
||||
syn keyword verilogamsStatement tranif1 transition
|
||||
syn keyword verilogamsStatement vectored wait wand weak0 weak1
|
||||
syn keyword verilogamsStatement white_noise wire wor wreal xnor xor zi_nd
|
||||
syn keyword verilogamsStatement zi_np zi_zd
|
||||
syn keyword verilogamsStatement zi_np zi_zd zi_zp
|
||||
syn keyword verilogamsRepeat forever repeat while for
|
||||
syn keyword verilogamsLabel begin end
|
||||
syn keyword verilogamsConditional if else case casex casez default endcase
|
||||
@ -95,7 +102,7 @@ syn match verilogamsNumber "\(\<\d\+\|\)'[bB]\s*[0-1_xXzZ?]\+\>"
|
||||
syn match verilogamsNumber "\(\<\d\+\|\)'[oO]\s*[0-7_xXzZ?]\+\>"
|
||||
syn match verilogamsNumber "\(\<\d\+\|\)'[dD]\s*[0-9_xXzZ?]\+\>"
|
||||
syn match verilogamsNumber "\(\<\d\+\|\)'[hH]\s*[0-9a-fA-F_xXzZ?]\+\>"
|
||||
syn match verilogamsNumber "\<[+-]\=[0-9_]\+\(\.[0-9_]*\|\)\(e[0-9_]*\|\)\>"
|
||||
syn match verilogamsNumber "\<[+-]\=[0-9_]\+\(\.[0-9_]*\|\)\(e[0-9_]*\|\)[TGMKkmunpfa]\=\>"
|
||||
|
||||
syn region verilogamsString start=+"+ skip=+\\"+ end=+"+ contains=verilogamsEscape
|
||||
syn match verilogamsEscape +\\[nt"\\]+ contained
|
||||
|
||||
29
runtime/syntax/xbl.vim
Normal file
29
runtime/syntax/xbl.vim
Normal file
@ -0,0 +1,29 @@
|
||||
" Vim syntax file
|
||||
" Language: XBL 1.0
|
||||
" Maintainer: Doug Kearns <dougkearns@gmail.com>
|
||||
" Latest Revision: 2007 November 5
|
||||
|
||||
if exists("b:current_syntax")
|
||||
finish
|
||||
endif
|
||||
|
||||
let s:cpo_save = &cpo
|
||||
set cpo&vim
|
||||
|
||||
runtime! syntax/xml.vim
|
||||
unlet b:current_syntax
|
||||
|
||||
syn include @javascriptTop syntax/javascript.vim
|
||||
unlet b:current_syntax
|
||||
|
||||
syn region xblJavascript
|
||||
\ matchgroup=xmlCdataStart start=+<!\[CDATA\[+
|
||||
\ matchgroup=xmlCdataEnd end=+]]>+
|
||||
\ contains=@javascriptTop keepend extend
|
||||
|
||||
let b:current_syntax = "xbl"
|
||||
|
||||
let &cpo = s:cpo_save
|
||||
unlet s:cpo_save
|
||||
|
||||
" vim: ts=8
|
||||
@ -1,7 +1,7 @@
|
||||
" Vim syntax file
|
||||
" Language: X Pixmap
|
||||
" Maintainer: Ronald Schild <rs@scutum.de>
|
||||
" Last Change: 2001 May 09
|
||||
" Last Change: 2008 May 28
|
||||
" Version: 5.4n.1
|
||||
|
||||
" For version 5.x: Clear all syntax items
|
||||
@ -38,9 +38,15 @@ while i <= line("$") " scanning all lines
|
||||
let colors = substitute(s, '"\s*\d\+\s\+\d\+\s\+\(\d\+\).*"', '\1', '')
|
||||
" get the 4th value: cpp = number of character per pixel
|
||||
let cpp = substitute(s, '"\s*\d\+\s\+\d\+\s\+\d\+\s\+\(\d\+\).*"', '\1', '')
|
||||
if cpp =~ '[^0-9]'
|
||||
break " if cpp is not made of digits there must be something wrong
|
||||
endif
|
||||
|
||||
" highlight the Values string as normal string (no pixel string)
|
||||
exe 'syn match xpmValues /'.s.'/'
|
||||
" Highlight the Values string as normal string (no pixel string).
|
||||
" Only when there is no slash, it would terminate the pattern.
|
||||
if s !~ '/'
|
||||
exe 'syn match xpmValues /' . s . '/'
|
||||
endif
|
||||
hi link xpmValues String
|
||||
|
||||
let n = 1 " n = color index
|
||||
@ -103,7 +109,7 @@ while i <= line("$") " scanning all lines
|
||||
if color == "" || substitute(color, '.*', '\L&', '') == 'none'
|
||||
exe 'hi xpmColor'.n.' guifg=bg'
|
||||
exe 'hi xpmColor'.n.' guibg=NONE'
|
||||
else
|
||||
elseif color !~ "'"
|
||||
exe 'hi xpmColor'.n." guifg='".color."'"
|
||||
exe 'hi xpmColor'.n." guibg='".color."'"
|
||||
endif
|
||||
|
||||
Reference in New Issue
Block a user